潮喷大喷水系列无码久久精品-人妻去按摩店被黑人按中出-日本护士吞精囗交gif-色久综合网精品一区二区

真空網(wǎng)歡迎您!
全球半導(dǎo)體產(chǎn)業(yè)景氣上行 設(shè)備國(guó)產(chǎn)化率大幅提升
2022-01-19  閱讀

來源:東亞前海證券

微信掃一掃分享

QQ掃一掃分享

微博掃一掃分享

  【康沃真空網(wǎng)】(報(bào)告出品方/作者:東亞前海證券/李子卓)

  1 2021年半導(dǎo)體設(shè)備行情回顧

  1.1.半導(dǎo)體設(shè)備板塊表現(xiàn)和持倉(cāng)

  2021年以來(截至2021/12/23),半導(dǎo)體設(shè)備在機(jī)械板塊中漲跌幅排名第二。分季度來看,Q1漲幅排名第十三,Q2排名第十五,Q3排 名第九,排名呈上升趨勢(shì)。半導(dǎo)體設(shè)備持倉(cāng)比例持續(xù)上升。2021Q3半導(dǎo)體設(shè)備在公募基金的持倉(cāng)比例為0.71%,環(huán)比上升0.17pct。自2019Q3以來,半導(dǎo)體設(shè)備的持 倉(cāng)比例由0.1%持續(xù)上升,但2020Q4、2021Q1連續(xù)下降,此后快速反彈至歷史最高水平。

  1.2.半導(dǎo)體設(shè)備板塊持倉(cāng)集中度較高

  半導(dǎo)體設(shè)備持倉(cāng)比例和市值均有所上升。2021Q3公募基金重倉(cāng)股中半導(dǎo)體設(shè)備的持倉(cāng)市值達(dá)453.01億元,環(huán)比增加32.42%;持倉(cāng)市值占 比達(dá)到0.71%,環(huán)比上升0.17pct。北方華創(chuàng)(305.400, -9.20, -2.92%)、中微公司(116.530, -1.84, -1.55%)、晶盛機(jī)電(61.240, -1.23, -1.97%)持倉(cāng)排名前三位。2021Q3公募基金對(duì)半導(dǎo)體設(shè)備公司的持倉(cāng)集中度較高,北方華創(chuàng)、中微公司和晶盛 機(jī)電持股市值分別為236、69和59億元,占整個(gè)半導(dǎo)體設(shè)備板塊的80.1%,較2021Q2的88.8%有所下降。

  1.3.2021Q3半導(dǎo)體設(shè)備板塊業(yè)績(jī)創(chuàng)單季新高

  2021Q3營(yíng)收和歸母凈利潤(rùn)均創(chuàng)下2019年以來單季新高。2021Q3半導(dǎo)體設(shè)備板塊的營(yíng)收和歸母凈利潤(rùn)分別為79億元和15億元,同比增長(zhǎng) 率分別為47.2%和53.4%,均創(chuàng)下2019年以來單季度新高。合計(jì)來看,2021Q1-Q3的營(yíng)收和歸母凈利潤(rùn)分別為211.0億元、38.7億元,同比 增長(zhǎng)率分別為55.9%、85.2%。按個(gè)股來看,2021Q1-Q3營(yíng)收的最大值/中位數(shù)/最小值及對(duì)應(yīng)的公司分別為61.7(北方華創(chuàng))/14.3(華興 源創(chuàng))/5.5(芯源微(144.010, -6.21, -4.13%))億元;歸母凈利潤(rùn)的最大值/中位數(shù)/最小值及對(duì)應(yīng)的公司分別為11.1(北方華創(chuàng))/2.7(華興源創(chuàng)(37.160, -0.08, -0.21%))/0.5(芯源微) 億元;2021Q1-Q3營(yíng)收同比增速的最大值/中位數(shù)/最小值及對(duì)應(yīng)的公司分別為158.2%(芯源微)/60.6%(晶盛機(jī)電)/14.6%(萬業(yè)企業(yè)(27.620, -1.51, -5.18%)), 歸母凈利潤(rùn)同比增速的最大值/中位數(shù)/最小值及對(duì)應(yīng)的公司分別為265.4%(長(zhǎng)川科技(49.750, 0.00, 0.00%))/95.7%(中微公司)/18.8%(芯源微)。

  2 半導(dǎo)體設(shè)備需求步入上行區(qū)間

  2.1.2021、2022年全球半導(dǎo)體市場(chǎng)將持續(xù)正增長(zhǎng)

  全球半導(dǎo)體產(chǎn)業(yè)景氣上行。1)5G、汽車電子、IoT以及AI等新興應(yīng)用領(lǐng)域快速發(fā)展,使得全球芯片需求側(cè)穩(wěn)定增長(zhǎng);2)疫情推動(dòng)全球 范圍內(nèi)遠(yuǎn)程辦公/教學(xué)迅速普及,相關(guān)下游需求激增;3)自2020年Q3以來,全球半導(dǎo)體行業(yè)發(fā)生了嚴(yán)重的芯片短缺,預(yù)計(jì)芯片缺貨至少 延續(xù)至2022年才能緩解。多家產(chǎn)業(yè)研究機(jī)構(gòu)預(yù)測(cè)全球半導(dǎo)體產(chǎn)業(yè)2021年的平均增速為24%,而2022年的平均增速為12%。全球半導(dǎo)體設(shè)備市場(chǎng)有望繼續(xù)高增長(zhǎng),至2022年創(chuàng)下千億美元新高。SEMI《World Fab Forecast report》(2021/09)預(yù)測(cè),受益全球工 業(yè)數(shù)字化對(duì)前沿技術(shù)的強(qiáng)勁需求,全球晶圓廠設(shè)備支出在2021年將增長(zhǎng)44%至914億美元;2022年增速下降為8%,但支出將創(chuàng)下歷史新 高980億美元。

  2.2.半導(dǎo)體設(shè)備市場(chǎng)表現(xiàn)向好

  2021年1~10月全球半導(dǎo)體設(shè)備市場(chǎng) 持續(xù)增長(zhǎng)。北美半導(dǎo)體設(shè)備出貨額 自2020年10月至2021年10月,已連 續(xù)25個(gè)月實(shí)現(xiàn)同比正增長(zhǎng),2021年 1~10月累計(jì)同比增長(zhǎng)43.5%;日本 半導(dǎo)體設(shè)備出貨額2021年1~10月累 計(jì)同比增長(zhǎng)31.9%。

  2021Q3全球龍頭半導(dǎo)體設(shè)備廠商營(yíng) 收增長(zhǎng),全年仍有望保持高增長(zhǎng)。2019Q4以來,受益全球半導(dǎo)體市場(chǎng) 景氣復(fù)蘇,以ASML、AMAT、 LAM、KLA為代表的國(guó)際半導(dǎo)體設(shè) 備龍頭公司單季收入恢復(fù)同比正增 長(zhǎng)。2021Q3,各企業(yè)收入表現(xiàn)出同 比增長(zhǎng),但增速出現(xiàn)分化,LAM、 AMAT(半導(dǎo)體設(shè)備業(yè)務(wù))增速均 超過50%;而KLA(26.7%)、 ASML(22.0%)的增速較小。

  2.3.全球主要半導(dǎo)體企業(yè)紛紛上調(diào)資本開支

  從資本開支角度看,全球主要半導(dǎo)體企業(yè)紛紛調(diào)增資本開支,將拉動(dòng)對(duì)半導(dǎo)體設(shè)備的需求。2021年,受終端5G手機(jī)、服務(wù)器、筆電等需求強(qiáng)勁以及 下游晶圓產(chǎn)能緊張的影響,上述主要公司紛紛上調(diào)資本開支。(報(bào)告來源:未來智庫)

  3 全球半導(dǎo)體設(shè)備行業(yè)格局

  3.1.全球半導(dǎo)體設(shè)備市場(chǎng)大幅增長(zhǎng),市場(chǎng)呈現(xiàn)寡頭壟斷格局

  預(yù)計(jì)2021年全球半導(dǎo)體設(shè)備市場(chǎng)銷售額將創(chuàng)下新記錄。半導(dǎo)體行業(yè)具 有周期性。受中國(guó)大陸以及韓國(guó)資本開支大幅增加的拉動(dòng), 近5年半導(dǎo)體設(shè)備市場(chǎng)的增長(zhǎng)主要由中國(guó)大陸、中國(guó)臺(tái)灣以及韓國(guó)驅(qū)動(dòng)。2015~2020年,全球半導(dǎo)體設(shè)備市場(chǎng)規(guī)模的CAGR為14.3%,其中中國(guó)大 陸、韓國(guó)以及中國(guó)臺(tái)灣半導(dǎo)體設(shè)備市場(chǎng)規(guī)模的CAGR依次為30.7%、 16.6%以及12.2%,是驅(qū)動(dòng)全球增長(zhǎng)的主要?jiǎng)恿Α?020年中國(guó)大陸 (26%)、中國(guó)臺(tái)灣(24%)和韓國(guó)(23%)半導(dǎo)體設(shè)備市場(chǎng)規(guī)模排在 前三位;日本(11%)、北美(9%)、歐洲(4%)依次位居榜后。

  3.2.全球半導(dǎo)體設(shè)備產(chǎn)業(yè)高度集中,先發(fā)優(yōu)勢(shì)下強(qiáng)者恒強(qiáng)

  全球半導(dǎo)體設(shè)備產(chǎn)業(yè)高度集中,龍頭企業(yè)先發(fā)優(yōu)勢(shì)明顯。國(guó)際半導(dǎo)體企業(yè)經(jīng)過數(shù)十年發(fā)展, 行業(yè)市場(chǎng)份額向頭部廠商集中,半導(dǎo)體設(shè)備巨頭通過“內(nèi)生+外延”擴(kuò)大在細(xì)分領(lǐng)域的領(lǐng)先優(yōu)勢(shì),形成強(qiáng)者恒強(qiáng)的局面。

  3.3.全球主要半導(dǎo)體設(shè)備制造商主要集中在美國(guó)、日本、荷蘭等國(guó)

  全球主要半導(dǎo)體設(shè)備制造商主要集中在美國(guó)、日本、荷蘭等國(guó)。從企業(yè)分布來看,全球知名的半導(dǎo)體設(shè)備制造商主要集中在美國(guó)、日本、荷 蘭等國(guó)家;從半導(dǎo)體設(shè)備產(chǎn)品看,美國(guó)主要控制等離子刻蝕設(shè)備、離子注入機(jī)、薄膜沉積設(shè)備、掩膜版制造設(shè)備、檢測(cè)設(shè)備、測(cè)試設(shè)備、表 面處理設(shè)備等,代表性企業(yè)包括AMAT、KLA、LAM等;日本則主要控制光刻機(jī)、刻蝕設(shè)備、單晶圓沉積設(shè)備、晶圓清洗設(shè)備、涂膠機(jī)/顯 影機(jī)、退火設(shè)備、檢測(cè)設(shè)備、測(cè)試設(shè)備、氧化設(shè)備等,代表性企業(yè)包括TEL、DNS、Advantest等;而荷蘭則是憑借ASML的高端光刻機(jī)在全 球處于領(lǐng)先地位。

  4 景氣高漲疊加國(guó)產(chǎn)化加速, 本土設(shè)備廠商迎發(fā)展良機(jī)

  4.1.中國(guó)大陸半導(dǎo)體設(shè)備市場(chǎng)空間廣闊、自給率低

  中國(guó)大陸的半導(dǎo)體設(shè)備需求量大,但自給率低。2020年,中國(guó)半導(dǎo)體設(shè)備市場(chǎng)規(guī)模為187億美元,同比增長(zhǎng)39.2%。2010年以來,中國(guó)在半 導(dǎo)體產(chǎn)業(yè)“第三次轉(zhuǎn)移”中扮演著越來越重要的角色,目前中國(guó)半導(dǎo)體市場(chǎng)規(guī)模已經(jīng)位居全球第一,但本土芯片制造能力不足的弊端也日益顯 現(xiàn),比如半導(dǎo)體設(shè)備和關(guān)鍵原材料與世界一流水平差距較大甚至部分領(lǐng)域無可替代產(chǎn)品。

  4.2.本土設(shè)備廠商不斷突破,產(chǎn)品獲得國(guó)內(nèi)外晶圓廠認(rèn)可

  中國(guó)半導(dǎo)體設(shè)備產(chǎn)業(yè)涌現(xiàn)出一批優(yōu)秀的國(guó)產(chǎn)設(shè)備制造商。在IC領(lǐng)域的主要關(guān)鍵設(shè)備方面,中國(guó)已基本具備自主研發(fā)能力,本地化配套能力 顯著增強(qiáng)。北方華創(chuàng)是國(guó)內(nèi)可提供IC設(shè)備品類最多的公司,包括刻蝕機(jī)、PVD、氧化爐、LPCVD、清洗機(jī)、ALD、外延設(shè)備以及氣體質(zhì)量 流量計(jì)等,已在多條國(guó)內(nèi)外主流產(chǎn)線上驗(yàn)證和使用。中微公司的CCP刻蝕機(jī)打破美國(guó)壟斷,在亞洲、歐洲的數(shù)十條生產(chǎn)線上交付超過1150個(gè) 反應(yīng)臺(tái),其中5nm刻蝕機(jī)進(jìn)入臺(tái)積電產(chǎn)線;ICP刻蝕機(jī)面世以來快速獲取訂單。盛美上海(107.200, -3.33, -3.01%)的清洗設(shè)備、上海精測(cè)的工藝檢測(cè)設(shè)備、芯源微的 勻膠顯影設(shè)備、沈陽拓荊的PECVD、凱世通的離子注入機(jī)、華海清科的CMP等一批晶圓制造前道設(shè)備均已進(jìn)入國(guó)內(nèi)外一流產(chǎn)線驗(yàn)證或使用。

  4.3.長(zhǎng)存中標(biāo)數(shù)據(jù)顯示部分設(shè)備國(guó)產(chǎn)化率大幅提升

  2021年1月,來自于上海微電子的首臺(tái)國(guó)產(chǎn)光刻機(jī)中標(biāo)長(zhǎng)江存儲(chǔ);ALD設(shè)備、離子注入設(shè)備、勻膠顯影設(shè)備的國(guó)產(chǎn)化率仍為零。在本土供應(yīng)商中,北方華創(chuàng)(熱處理/PVD/刻蝕)、中微公司(刻蝕)、 屹唐半導(dǎo)體(去膠/刻蝕/清洗)、盛美半導(dǎo)體(清洗)、華海清科(CMP)、上海精測(cè)(量測(cè))、沈陽拓荊(CVD)、武漢精鴻(ATE) 等企業(yè),均獲得了批量重復(fù)訂單。(報(bào)告來源:未來智庫)

  5 半導(dǎo)體設(shè)備投資圖譜

  5.1.IC制造流程包括硅片制造、晶圓制造、封裝測(cè)試三個(gè)主要環(huán)節(jié)

  IC制造流程包括硅片制造、晶圓制造、封裝測(cè)試三個(gè)主要環(huán)節(jié),晶圓制造設(shè)備是IC制造過程中最主要的設(shè)備大類,其價(jià)值量占所有設(shè)備 的比例約為80%。硅片制造設(shè)備:首先將半導(dǎo)體級(jí)硅制造成符合規(guī)格的單晶硅棒材,再經(jīng)過一系列的拋光、刻蝕和清洗等工藝流程,制造成硅單晶缺陷、 金屬雜質(zhì)、晶體原生缺陷、表面顆粒尺寸和數(shù)量等技術(shù)指標(biāo)達(dá)標(biāo)的硅片/外延硅片;硅片制造設(shè)備主要包括單晶爐、切割機(jī)、磨片機(jī)、 倒角機(jī)、刻蝕機(jī)、拋光機(jī)、清洗機(jī)以及檢測(cè)設(shè)備等。

  晶圓制造設(shè)備:在硅片上加工集成極小的微型電路,使之具有特定電性功能。主要使用的設(shè)備包括光刻機(jī)、刻蝕機(jī)、薄膜沉積設(shè)備、離 子注入設(shè)備、熱處理設(shè)備、清洗機(jī)、拋光機(jī)以及工藝檢測(cè)設(shè)備等。封裝設(shè)備:將晶圓裸片裝配為集成電路產(chǎn)品,過程中使用到的設(shè)備包括晶圓減薄機(jī)、切割機(jī)、黏片機(jī)、引線鍵合機(jī)以及一些先進(jìn)封裝設(shè) 備等。測(cè)試設(shè)備:對(duì)硅片或晶圓的質(zhì)量、性能進(jìn)行量檢測(cè)的設(shè)備,主要包括ATE、分選機(jī)、探針臺(tái)等。

  5.2.硅片制造工藝包括長(zhǎng)晶、整型、切片、磨片倒角等

  半導(dǎo)體硅片的生產(chǎn)流程依次包括拉晶、整型、切片、倒角、研磨、刻蝕、拋光、清洗、檢測(cè)、包裝等步驟。半導(dǎo)體硅片制造包括多道 供需,其中拉晶、研磨和拋光工藝是決定硅片質(zhì)量的關(guān)鍵步驟。半導(dǎo)體硅片制造使用的設(shè)備包括單晶爐、滾磨機(jī)、切片機(jī)、倒角機(jī)、研 磨設(shè)備、CMP拋光設(shè)備、清洗設(shè)備、檢測(cè)設(shè)備等。

  5.3.晶圓制造是擴(kuò)散、光刻、刻蝕等主要工藝的多次重復(fù)

  晶圓制造過程主要包括擴(kuò)散、光刻、刻蝕、離子注入、薄膜生長(zhǎng)、化學(xué)機(jī)械拋光、金屬化七個(gè)相互獨(dú)立的工藝流程。集成電路制造過 程復(fù)雜通常需要花費(fèi)1個(gè)半月到2個(gè)月的時(shí)間,涵蓋步驟至少有350道,但只是有限的幾種工藝,如薄膜沉積、光刻、刻蝕、注入、拋光 等的多次運(yùn)用。

  5.3.1.薄膜沉積在晶圓表面構(gòu)建不同功能的淀積層

  集成電路薄膜沉積工藝可分為物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD)和外延三大類。PVD是指通過熱蒸發(fā)、濺射或者電離等 方法處理材料源的物理方法,將物質(zhì)原子轉(zhuǎn)移至硅片表面并形成薄膜的技術(shù),多應(yīng)用于金屬膜沉積;CVD是指含有薄膜所需原子或分子 的化學(xué)物質(zhì)在反應(yīng)室內(nèi)混合并反應(yīng),其原子或分子淀積在晶圓表面形成,可應(yīng)用于絕緣薄膜、多晶硅以及金屬膜層的沉積;外延是一種 在硅片表面按照襯底晶定向生長(zhǎng)單晶薄膜的工藝。不同工藝節(jié)點(diǎn)對(duì)膜質(zhì)量、厚度以及孔隙溝槽填充能力等的要求不同,常用CVD設(shè)備包 括APCVD、LPCVD、PECVD、HDPCVD以及FCVD等。

  5.3.2.北方華創(chuàng)、沈陽拓荊開啟薄膜沉積設(shè)備的進(jìn)口替代

  薄膜沉積設(shè)備也已開啟進(jìn)口替代,北方華創(chuàng)是國(guó)內(nèi)PVD/ALD設(shè)備的領(lǐng)軍企業(yè)。在薄膜沉積設(shè)備領(lǐng)域,全球主要廠商包括美國(guó)的應(yīng)用材 料( AMAT)、泛林( Lam Research),日本的東京電子(TEL)等。國(guó)內(nèi)企業(yè)在薄膜沉積設(shè)備上不斷取得突破,北方華創(chuàng)(002371.SZ) 自主開發(fā)的系列PVD設(shè)備已經(jīng)用于28nm生產(chǎn)線中,用于14nm工藝的PVD設(shè)備實(shí)現(xiàn)重大進(jìn)展;沈陽拓荊的PECVD設(shè)備也在多條芯片生產(chǎn) 線上得到應(yīng)用。(報(bào)告來源:未來智庫)

  6 中國(guó)半導(dǎo)體設(shè)備龍頭概覽

  6.1.北方華創(chuàng):國(guó)內(nèi)品類最豐富的泛半導(dǎo)體設(shè)備龍頭

  公司是國(guó)內(nèi)產(chǎn)品體系最豐富、涉及領(lǐng)域最廣的高端半導(dǎo)體工藝設(shè)備供應(yīng)商。公司的主要業(yè)務(wù)板塊可分為半導(dǎo)體裝備、真空裝備、新能源 鋰電裝備及精密電子元器件四大類,具體是由原七星電子的半導(dǎo)體裝備相關(guān)業(yè)務(wù)與原北方微電子的全部業(yè)務(wù)整合而成。

  “內(nèi)生+外延”打造國(guó)內(nèi)品類最豐富的泛半導(dǎo)體設(shè)備龍頭

  重組后的北方華創(chuàng)主要包括四大業(yè)務(wù)板塊:1)半導(dǎo)體裝備:等離子刻蝕(Etch)、物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD)、 氧化/擴(kuò)散、清洗等半導(dǎo)體工藝設(shè)備,以及核心零部件氣體質(zhì)量流量控制器(MFC);2)真空裝備:真空熱處理設(shè)備、氣氛保護(hù)熱處理 設(shè)備、連續(xù)式熱處理設(shè)備以及晶體生長(zhǎng)設(shè)備等;3)新能源鋰電裝備:自動(dòng)上料系統(tǒng)、真空攪拌機(jī)、涂布機(jī)、強(qiáng)力軋膜機(jī)、高速分切機(jī) 以及儲(chǔ)能系統(tǒng)等;4)精密元件:精密電阻、晶體器件、微波組件、模塊電源以及鉭電容器等。公司的業(yè)務(wù)主要通過三家子公司運(yùn)營(yíng), 其中北方微電子主營(yíng)泛半導(dǎo)體裝備業(yè)務(wù)、北方華創(chuàng)真空主營(yíng)真空裝備及鋰電裝備業(yè)務(wù)、七星華創(chuàng)主營(yíng)電子元器件業(yè)務(wù)。

  平臺(tái)化發(fā)展助業(yè)績(jī)快速增長(zhǎng),政府補(bǔ)助貢獻(xiàn)較多利潤(rùn)

  “內(nèi)生+外延”使公司營(yíng)收快速增長(zhǎng),政府補(bǔ)助 貢獻(xiàn)較大利潤(rùn)數(shù)額。2015~2020年,公司營(yíng)收 從8.5億元升至60.6億元,CAGR約48%,歸母 凈利潤(rùn)由0.4億元上升至5.4億元,CAGR約69%, 期間完成了與北方微電子的重組及美國(guó)Akrion 高端集成電路設(shè)備相關(guān)資產(chǎn)業(yè)務(wù)的收購(gòu),通過 “內(nèi)生+外延”并舉的方式不斷拓寬產(chǎn)品線,實(shí) 現(xiàn)了高速增長(zhǎng)。在下游需求拉動(dòng)下,公司業(yè)績(jī) 實(shí)現(xiàn)高增。2021Q1~Q3營(yíng)收為61.7億元,同比 增61.0%;歸母凈利潤(rùn)為6.6億元,同比增 101.6%。以政府補(bǔ)助為主的非經(jīng)常性損益長(zhǎng)期 為公司貢獻(xiàn)了較大程度的利潤(rùn),但在近期切換 為業(yè)績(jī)驅(qū)動(dòng),2021Q1~Q3年公司實(shí)現(xiàn)扣非歸母 凈利潤(rùn)5.3億元,非經(jīng)常性損益1.3億元,其中 政府補(bǔ)助1.5億元。分業(yè)務(wù)來看,公司主營(yíng)業(yè) 務(wù)包括電子工藝裝備、電子元件,2021H1分 別實(shí)現(xiàn)營(yíng)業(yè)收入/占營(yíng)收比例28.3億元/78.3%、 7.7億元/21.4%;電子工藝裝備業(yè)務(wù)包括半導(dǎo)體 設(shè)備和真空設(shè)備,2020年分別占裝備業(yè)務(wù)比例 為85.3%和14.7%。2015~2020年,公司營(yíng)業(yè)收 入的增長(zhǎng)主要由電子工藝裝備的高增長(zhǎng)導(dǎo)致, 期間電子工藝裝備、電子元件的CAGR分別為 48.7%、17.7%。

  大力投入研發(fā)保障長(zhǎng)期領(lǐng)先,盈利能力穩(wěn)中有升

  始終保持高額研發(fā)投入,費(fèi)用率與毛利率維持平穩(wěn)。2015~2020年公司研發(fā)支出穩(wěn)步增長(zhǎng), 占營(yíng)收比例均高于25%,2021Q1~Q3的研發(fā)支出為8.7,占營(yíng)收比例為14.1%,同比大幅上 升191.6%。公司毛利率水平維持在35%以上,2021Q1~Q3為40.9%;凈利率呈上行趨勢(shì), 2021Q1~Q3為12.3%。公司銷售費(fèi)用率、財(cái)務(wù)費(fèi)用率較低,分別在5%、-0.7%~2%左右的 水平;但是管理費(fèi)用率較高,2021Q1~Q3為10.5%。

  6.2.華峰測(cè)控(409.830, -5.00, -1.21%):深耕半導(dǎo)體測(cè)試設(shè)備的高增長(zhǎng)龍頭

  公司深耕半導(dǎo)體測(cè)試系統(tǒng)領(lǐng)域二十余年,成為本土最大半導(dǎo)體測(cè)試系統(tǒng)供應(yīng)商。公司成立于1993年,其前身是航空航天工業(yè)部第一研 究院下屬北京光華無線電廠設(shè)立的企業(yè),2020年2月于上交所科創(chuàng)板掛牌上市。公司成立后歷時(shí)數(shù)年開發(fā)了STS 2000系列產(chǎn)品,覆蓋模 擬、數(shù)字、繼電器、分立器件等類別的測(cè)試需求;2008年,公司成功開發(fā)了滿足集成電路測(cè)試行業(yè)需求的新一代STS 8200平臺(tái);2014年, 公司推出了“CROSS”技術(shù)平臺(tái),可在同一個(gè)測(cè)試技術(shù)平臺(tái)上通過更換不同的測(cè)試模塊提高了平臺(tái)延展性;2018年成功開發(fā)了最新一代的 STS 8300平臺(tái),并進(jìn)軍SoC測(cè)試領(lǐng)域,目前已獲得中國(guó)大陸、中國(guó)臺(tái)灣及美國(guó)客戶的訂單。自成立以來,公司憑借產(chǎn)品的高性能、易操 作和服務(wù)優(yōu)勢(shì)等特點(diǎn),在模擬/數(shù)模混合測(cè)試機(jī)領(lǐng)域打破了國(guó)外廠商的壟斷地位,成為目前國(guó)內(nèi)最大的半導(dǎo)體測(cè)試系統(tǒng)本土供應(yīng)商,并 進(jìn)入了國(guó)際封測(cè)市場(chǎng)供應(yīng)商體系。公司國(guó)內(nèi)市占率50~60%(國(guó)內(nèi)市場(chǎng)一直在增長(zhǎng)),全球占10%左右(持續(xù)拓展海外市場(chǎng))。

  6.3.中微公司:本土半導(dǎo)體設(shè)備企業(yè)明日之星

  刻蝕設(shè)備龍頭,引領(lǐng)市場(chǎng)發(fā)展。中微公司聚焦用于集成電路、LED芯片(也包括先進(jìn)封裝、MEMS)等微觀器件領(lǐng)域的等離子體刻蝕設(shè) 備、深硅刻蝕設(shè)備和MOCVD設(shè)備等關(guān)鍵設(shè)備的研發(fā)、生產(chǎn)和銷售。公司的等離子體刻蝕設(shè)備已在國(guó)際一線客戶從65納米到14納米、7 納米和5納米的集成電路加工制造及先進(jìn)封裝中有具體應(yīng)用;公司的MOCVD設(shè)備在行業(yè)領(lǐng)先客戶的生產(chǎn)線上大規(guī)模投入量產(chǎn),已成為 世界排名前列、國(guó)內(nèi)占領(lǐng)先地位的氮化鎵基LED設(shè)備制造商。

  “優(yōu)質(zhì)賽道+過硬綜合實(shí)力”推動(dòng)業(yè)績(jī)持續(xù)高增長(zhǎng)

  受益優(yōu)質(zhì)賽道及綜合能力,公司業(yè)績(jī)保持 高速增長(zhǎng)。公司2016~2020年,主營(yíng)業(yè)務(wù) 收入整體呈現(xiàn)高速增長(zhǎng)的態(tài)勢(shì),CAGR約 38.9%;歸母凈利潤(rùn)在2017年實(shí)現(xiàn)扭虧為 盈,2017~2020年的歸母凈利潤(rùn)大幅增長(zhǎng) CAGR約153.7%。2021Q1~Q3公司業(yè)績(jī)快 速增長(zhǎng),營(yíng)收為20.7億元,同比增40.4%, 歸母凈利潤(rùn)為5.4,同比95.7%。分業(yè)務(wù)來 看,2021H1公司專用設(shè)備、備品備件、設(shè) 備維護(hù)及其他分別實(shí)現(xiàn)收入10.8(占比 80.5%)、2.5(占比18.5%)、0.14億元 (占比1.0%)。專用設(shè)備中,刻蝕機(jī)實(shí)現(xiàn) 收入8.6億元(占專用設(shè)備79.7%),同比 增長(zhǎng)83.8%;MOCVD設(shè)備實(shí)現(xiàn)收入2.2億 元(占專用設(shè)備20.3%),受LED產(chǎn)線建設(shè) 低迷的影響,同比下降10.1%。公司盈利 能力穩(wěn)步增長(zhǎng),2021Q1~Q3的毛利率和凈 利率分別為42.7%和26.1%。

  深耕刻蝕擴(kuò)大工藝覆蓋率,拓展品類打開市場(chǎng)空間

  深耕刻蝕領(lǐng)域,由CCP向ICP拓展。公司刻蝕機(jī)產(chǎn)品可按CCP、ICP,單、雙反應(yīng)臺(tái)兩個(gè)尺度,分為四個(gè)品類,即CCP單反應(yīng)臺(tái)產(chǎn)品 (SSC系列)、CCP雙反應(yīng)臺(tái)產(chǎn)品(DSC系列)、ICP單反應(yīng)臺(tái)產(chǎn)品(Nanova)以及ICP雙反應(yīng)臺(tái)產(chǎn)品(Twinstar、TSV刻蝕機(jī))。公司 的刻蝕機(jī)產(chǎn)品從CCP切入,逐步拓展至ICP,于2018年正式發(fā)布第一代ICP刻蝕機(jī)Nanova。2021年3月,公司在Semicon China宣布了 TwinStar進(jìn)入市場(chǎng);6月,Nanova第100臺(tái)反應(yīng)腔順利交付,標(biāo)志著公司ICP產(chǎn)品發(fā)展邁入了新的階段。

  布局CVD及工藝檢測(cè)賽道,打造IC制造設(shè)備平臺(tái)型企業(yè)

  布局CVD及工藝檢測(cè)賽道,打造IC制造設(shè)備平臺(tái)型企業(yè)。在集成電路制造設(shè)備領(lǐng)域,公司有望進(jìn)一步向CVD及工藝檢測(cè)領(lǐng)域進(jìn)行拓展。CVD領(lǐng)域:公司持有沈陽拓荊股權(quán)比例約11.2%。沈陽拓荊成立于2010年4月,是由海外專家團(tuán)隊(duì)和中科院所屬企業(yè)共同發(fā)起成立的國(guó) 家高新技術(shù)企業(yè),專業(yè)從事高端半導(dǎo)體薄膜設(shè)備開發(fā),多次承擔(dān)國(guó)家重大專項(xiàng)。工藝檢測(cè)領(lǐng)域:公司2020年12月投資上海睿勵(lì),持股比 例約1.2%。上海睿勵(lì)是集成電路生產(chǎn)前道工藝檢測(cè)設(shè)備生產(chǎn)商,是國(guó)內(nèi)少數(shù)幾家進(jìn)入國(guó)際領(lǐng)先12英寸生產(chǎn)線的高端裝備企業(yè)之一,且是 國(guó)內(nèi)唯一進(jìn)入某韓國(guó)領(lǐng)先芯片生產(chǎn)企業(yè)的國(guó)產(chǎn)集成電路設(shè)備企業(yè)。若中微將產(chǎn)品類別由刻蝕機(jī)延伸至CVD及工藝檢測(cè)設(shè)備,則產(chǎn)品覆 蓋范圍將擴(kuò)大至所有晶圓制造設(shè)備市場(chǎng)規(guī)模的50%左右,對(duì)應(yīng)全球市場(chǎng)空間356億美元、國(guó)內(nèi)市場(chǎng)空間94億美元。